一种基于VHDL语言的数字频率计设计

在线阅读 下载PDF 导出详情
摘要 介绍一种在FPGA中运用VHDL语言实现数字频率计的方法。该频率计其频率测量范围为0Hz~100MHz,测量结果用8只数码管显示。其设计方法与传统的设计方法相比,具有外围电路简单,程序修改灵活和调试容易等特点。
作者 王刚
机构地区 不详
关键词 FPGA VHDL~频率计
出版日期 2010年04月14日(中国期刊网平台首次上网日期,不代表论文的发表时间)
  • 相关文献