电子设计自动化EDA在电子电路中的应用研究

(整期优先)网络出版时间:2022-07-05
/ 2

电子设计自动化 EDA在电子电路中的应用研究

武艺

身份证号码: 142301198603152313

摘要:电子设计自动化EDA技术的主要特征就是能够描述高级语言,实现系统仿真以及综合优化,这对提升系统性能有一定帮助。当前,EDA技术应该广泛应用于教学领域以及科研领域中,在产品研发、设计以及实验、定型工作中发挥着不可替代的作用。

关键词:电子设计自动化EDA;电子电路;应用

1EDA技术概述

EDA技术,即电子设计自动化,是由计算机辅助测试发展而来的,是以CAD为建构基础逐渐完善的一种计算机辅助设计系统。设计者以大型可编辑逻辑器件为主要设计载体,在EDA软件平台上,通过硬件描述语言VHDL进行设计,融合了各种计算机技术、电子技术、信息技术和智能技术,实现了电子产品自动化设计。不同于传统CAD技术,EDA技术具有十分显著的特点,具体包括以下几点。(1)硬件电路设计方式为软件设计。在设计过程中,设计人员可选择波形、原理图、VHDL语言等设计输入方式,无须硬件设备即可独立完成各个设计环节,直至达到下载配置环节。对硬件设计相关内容进行修改,无须特定的修改方法,与软件修改程序方法类似;同时,设计人员还可以利用软件设计方法对特定硬件电路进行试验检测,以快速达到设计目标。(2)集成化程度高。EDA技术是一种以芯片为基础的设计方法。目前,我国大规模集成线路已经取得一定成就,能够实现复杂程度高的数字电子电路芯片化设计,还能够实现专业化集成电路ASIC设计,实现了片上系统这一阶段性目标。(3)自动完成产品直面设计。应用EDA技术进行设计时,电路功能仿真开始至结束全过程,均可以通过预先逻辑编译等程序来自动化执行相关动作,达成设计预期目标。设计人员可以根据实际情况,对目标系统进行现场更改或直接编程,有效提升工作效率。(4)应用成本低。应用EDA技术花费成本较小,工作周期短,灵活性和可操作性大,该技术是推动电子电路设计进入新阶段的重要推手。

2电子电路布局设计应用

2.1电子电路及系统分析设计软件

目前,市场上较为常见的电子电路及系统分析设计软件有PSpice软件、orCAD软件、protel软件、PAD软件,其功能及类型各不相同,同时具有各自的优缺点。设计人员需要根据实际需求选择对应的软件,以充分发挥软件价值,达到理想设计目标。具体来看:PSpice软件主要功能包括电路模拟、电路仿真,能够模拟分析噪声、温度、波形、数据输出等内容,并将模拟仿真结果直观展现出来,是一款功能较为强大的软件;orCAD软件是截至目前应用市场最广泛的软件,功能也最为丰富,包括电路原理图绘制、混合仿真模拟数字电路、电路板设计与印制等;protel软件是Tango软件的升级版本,最早属于印制板自动布线工具,功能较为单一,仅能完成印制板设计和绘制电路原理图,随着技术发展和软件更新,各种新的功能不断增加,包括dialup混合信号仿真模拟、图表及电子表格生成等宏观操作,能够实现完整板级全方位电子设计;PAD软件功能强大,涵盖范围也较为广泛,能够完成生产加工、数控分析与传输、复杂电子系统原理图设计、封装设计及集成化、智能化应用等方面的内容,可制作性强,传输性强,分析功能强大,操作简洁,应用效果良好。

2.2MATLAB电路及系统仿真

MATLAB多用于系统级仿真作业,是一种高效率、功能强大的交互式软件,还配备相应C语言、FORTRAN语言接口和配套工具箱。MATLAB软件与PSpice软件等结合应用,能准确分析电子电路瞬时及平均功耗、瞬时及稳态波形,并绘制成对应曲线,实现系统级仿真操作。MATLAB还具有动态仿真技术,在电子电路系统中,该技术可直接与PSB技术联合使用并进行仿真,但是设计人员需要预先将绘制的电路图模型转化为状态方程描述系统方式。MATLAB的实际应用需要经历连接电路→设定元件参数→连接电流表/电压表→测量仪表电流电压值的步骤,从而顺利完成仿真操作。值得注意的是,目前电路元件子模块中部分部件缺失,如BJT三极管、运算放大器等,导致MATLAB分析功能受阻。因此,只有建立相应模型,才能应用该功能,完成仿真操作。

2.3电子电路及系统层次设计

传统电子电路及系统设计一般采用自下而上的设计流程,需要专业设计人员完成电子系统设计,并由专业人员对其加以修改,耗时长,成本大。而使用EDA技术进行自动化设计,在对电子电路和系统的电路级、物理实现级、系统级进行设计时,选择采用自上而下的设计方法,能够仔细分析全程系统性能、设计成本和周期之间的关系,取得了良好成效。在设计系统级时,设计人员基于性能指标和限制,建立系统方块图;利用CHDL语言进行行为级描述和模拟验证;随后将该模块拆分为数字电路、DSP、模拟电路三个子模块。在设计物理实现层时,设计人员需要综合考虑成本、设计目标、设计周期、系统性能等多种因素。在对电路级这一系统层次进行设计时,设计人员要先设计子系统的电路原理结构,并在此基础上使用逻辑综合优化工具,自动生成门级逻辑电路EDIF网表。在整个设计流程中,设计人员需要根据子系统电路类型选择对应软件工具,依次完成设计、仿真、优化等工作,最终建立宏模型库。

3.电子自动化EDA在电子电路中的实践应用

在电子电路设计中,EDA技术发挥着极为关键的作用。为了更好地理解其作用,本文以简易数字钟设计为例,尝试设计一个时、分、秒功能俱全且能准确显示的数字钟,以便更加全面地掌握该技术。

3.1设计思路及方法

根据上文所述,电子电路设计选择自上而下的设计流程,依次完成系统级、物理实现级、电路级三个层次的设计,突显设计专业化、规范化。因此,在本次数字钟设计过程中,我们需要根据数字钟的时、分、秒计数设置,译码显示设置等主要内容,对设计进行分层处理,包括第一层(数字钟)、第二层(时、分、秒计数及译码显示)、第三层(60进制及24进制计数器、译码显示电路)。在这一过程中,我们需要使用VHDL语言进行编程描述,依据VHDL语言设计规范,使用QusrterⅡ软件设计出60进制计数器程序进行编码,获得支持调用的图元;随后,在以60进制计数器对应设计程序的基础上,编写24进制计数器,判断调整相应进位,将60进制调整为24进制,其余部分保持不变,继而完成“时”的设计。在设计译码显示电路时,为突显出显示界面的视觉效果,采用动态译码扫描电路,能够获得特殊视觉效果,还能达到节能降耗的目的。其工作原理如下:通过设置使扫描信号对应频率超过人眼正常视觉暂留频率,由此实现某个时间点7段数码管点亮(其中6个同时显现)的效果。另外,我们在做顶层设计时,将底层设计模块作为基本目标,利用原理图进行设计,将两者有机结合,达到了获得完整电子电路的设计目标。

3.2编译下载

该步骤属于设计完成重要步骤,设计人员可以利用实验工具箱校验设计精准度,一旦发现错误,及时进行更改;设计人员也可以利用编译仿真技术,将其下载至FPGA芯片上,实现复制和移动,有效实施基于FPGA芯片的存储工作。

4结语

综上所述,电子设计自动化EDA的普及应用,具有十分突出的实践应用价值,能够为电子电路及系统分析设计软件、MATLAB电路及系统仿真、电子电路及系统层次设计等方面提供充足动力。因此,加强EDA技术研究与实践,能够指导技术发展,从而实现行业的可持续健康发展。

参考文献

[1]金天星.EDA技术在数字电子电路设计中的实践应用[J].电子技术与软件工程,2018(14).

[2]高皑琼.数字电路设计过程中EDA技术的应用[J].计算机产品与流通,2018(09).