电子工程设计中 EDA技术的研究

(整期优先)网络出版时间:2020-10-14
/ 2

电子工程设计中 EDA技术的研究

周炎

[摘要]EDA技术是一门复杂又系统的综合性技术它的应用范围广泛而且越来越多的领域都开始运用重视这门技术尤其是电子工程设计领域。电子工程设计对于EDA技术的运用主要在电路设计电路特性的优化设计、电路特性的有效分析等方面。规范、合理的利用EDA技术,可以使电子工程设计更加完善、安全。

[关键词] EDA技术;电路;电子工程

引言

EDA技术作为电子工程设计的核心,它在很多方面为电子工程设计带来很大的改变,它改变了传统的数字系统设计方法.设计过程,甚至是设计概念。EDA技术在结构原理、继承规模、下载方式.逻辑设计手段等方面的每一次进步都为现代电子工程设计的发展提供了强大动力。

. EDA技术的概述

EDA技术,即电子设计自动化技术,它融合了计算机应用、电子系统、微电子等多个学科的内容。一般意义上来说,EDA技术也就是将一个规模比较大的编程逻辑器件(PLD)看作是设计载体,系统使用硬件描述语言进行逻辑描述,将实验开发系统. PLD以及计算机看作是设计工具,然后通过各种与之相关的开发软件,使电子系统(基于软件进行设计)可以自动的完成硬件系统的逻辑优化.仿真.编译.化简.布局布线.分割等内容,直到完成关于某些特定目标芯片的编程下载.逻辑映射以及适配编译等工作,以形成专用集成芯片或者是电子系统的一门新技术。EDA是现阶段电子工程设计当中的核心技术,它的主要部件包括编译器、仿真器.综合器.适配器以及下载器。该技术采用的高级语言描述即HDL,该语言具有描述范围广语言可公开利用系统编程以及现场编程等特点,便于电子工程设计的交流、保存和修改,而且还能实现设计方案的在线升级。此外, EDA技术还拥有较高的自动化程度,可以进行各级的仿真、纠错以及调试工作。

. EDA技术在电子工程设计中的运用

EDA技术发展势头良好,在理论和实践方面都有着极大的关注度。理论方面,首先它是几乎所有理工类高校都会开设的课程,学生会学习EDA的基本概念、掌握VHDL描述系统逻辑的方法、使用EDA工具进行电子电路课程的模拟仿真实验等等,其次,在科研方面,人们主要利用电路仿真工具进行电路的设计与仿真:利用虚拟仪器进行产品调试:将CPLD/FPGA器件的开发应用到仪器设备中。在实践方面,从高性能的微处理器.数字信号处理器到彩电.影响和电子玩具电路等,EDA技术不但是应用于前期的计算机模拟仿真、产品调试,而且也在电子产品制作、电子设备研制与生产电路板焊接等方面也拥有重要作用。EDA技术已经成为电子工业领域不可缺少的技术支持。

1.电路设计当中的仿真分析

电子工程设计方案在确定之后,需要通过系统仿真或者结构模拟等方法对该方案的合理性、科学性以及可行性进行分析研究。而采用EDA技术则可以通过确定系统各个环节的传递函数,利用数学模型进行仿真分析。这种系统仿真技术可以推广应用与非电子工程专业的系统设计或者验证某种新理论、新构思的合理性。仿真分析完成之后对构成系统的各电路结构进行模拟分析,从而判断电路结构设计的正确性以及性能指标的可实现性。

2.电路特性的优化设计

电子产品的元器件拥有最佳的容差以及工作环境的温度是电路运行安全.稳定的重要保证。但是使用传统的电子工程设计方法很难对元器件的容差以及和工作环境进行系统全面的分析,因此也就无法得到最佳的设计方案,元器件的容差和工作环境的温度也就得不到有效地保证。通过使用E DA技术中的温度分析以及统计分析功能,则可以很好地解决这一问题。因为温度分析以及统计分析功能可以确定出最佳的元器件参数、电路结构以及选择与元器件相适宜的工作环境温度,这样,不仅能够优化电子工程设计方案,而且还可以有效提升产品的使用质量。

3.电路特性的有效分析

电路特性的有效性分析是EDA技术的一项重要内容。 因为在电子工程设计当中所有的理论分析都是建立在数据测试和特性分析的基础上的。但是传统的电子工程设计方法由于受到技术以及硬件设计的局限性,在测试结果以及测试方法上存在诸多问题,不仅影响了电路测试的精确性,而且还会影响到产品的后期使用。通过使用EDA技术不仅可以对电子工程设计进行全功能、高精度的测试,而且还能够通过自顶而下的设计程序,有效的避免了方案的局部有话和结构性差异,从而确保设计方案的整体性以及合理性。

.应用EDA进行电路开发的过程及注意事项

1.应用EDA进行电路开发的过程

EDA在进行电路开发的一般过程包括几个阶段: 1)设计思路输人阶段。是指设计者将自己的设计思路用文本或是图形的方式表达出来,这里面需要永达EDA工具的文本或图形编辑器。2) 编译阶段。编译的工作由两个方面组成。一个是进行一般性的语法和电路排错。另一个是进行格式转换,为接下来的逻辑综合和优化作准备。3)逻辑综合阶段。这个阶段:是将软件向硬件转化、将软件设计与硬件的可实现性挂钩的关键步骤。具体来说就是:综合器对源文件的综合是针对FPGA/CPLD供应商的具体产品,因此,综合后的结果具有硬件可实现性,同时,在综合中还将对设计进行优化,去除冗余逻辑,节省资源,提高效率等。优化一般包括资源优化和速度优化两种方式。布局布线和适配阶段:综合优化之后还需要将软件设计与硬件的可实现性进一步结合, 即针对具体型号的芯片进行布局布线和适配。4)功能仿真和时序仿真阶段。对硬件的可实现性进行仿真操作,来验证综合优化的程度和检测错误。5)下载阶段:在功能功能和时序仿真阶段,如果仿真汇总没有发现问题,就可将适配器产生的配置文件通过编程器或下载电缆载人目标芯片。6)硬件测试阶段:硬件测试针对FPGA/CPLD直接用于系统检测。

2.应用EDA进行电路开发的注意事项

应用中的注意事项: 1) 不能采用偶数个反相器串联的方法构成延时电路,因为延时时间不准确以及自动编译时会作为冗余电路被简化掉。2)输入引脚不可悬空,必须有源信号驱动,不用的专用引脚应接地。3)器件的所有电源和地线引脚都必领可靠连接,每个电源和地线引脚之间都必须并联一个0.1uF的无感电容,进行滤波和去耦。4)为便于扩展和修改设计,在选择使用器件时,对其逻辑单元的引脚都要又一定数量的余量。5)注意环境,防止器件过热。

参考文献

[1]韩雪莹.机械电子工程设计中的技术要点分析[J].科技经济导刊,2019,27(01):77.

[2]张静.电子工程设计的EDA技术研究分析[J].门窗,2017(07):161.

[3]任翔宇.机械电子工程设计中的技术研究[J].电脑迷,2017(03):83-84.

[4]陈瑾.电子工程设计的EDA技术研究分析[J].无线互联科技,2016(21):57-58.